You can not select more than 25 topics
Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
31 lines
428 B
31 lines
428 B
`timescale 1ns / 1ps
|
|
//
|
|
module tb_top;
|
|
|
|
//clock and reset signal declaration
|
|
logic tb_clk, reset;
|
|
logic [31:0] tb_WB_Data;
|
|
|
|
//clock generation
|
|
always #10 tb_clk = ~tb_clk;
|
|
|
|
//reset Generation
|
|
initial begin
|
|
tb_clk = 0;
|
|
reset = 1;
|
|
#25 reset =0;
|
|
end
|
|
|
|
|
|
riscv riscV(
|
|
.clock(tb_clk),
|
|
.reset(reset),
|
|
.WB_Data(tb_WB_Data)
|
|
);
|
|
|
|
initial begin
|
|
#2500;
|
|
$finish;
|
|
end
|
|
endmodule
|