You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

14 lines
213 B

`timescale 1ns / 1ps
// 加法器
module adder #(
parameter WIDTH = 8
)(
input logic [WIDTH-1:0] a, b,
output logic [WIDTH-1:0] y
);
// add your adder logic here
assign y = a + b;
endmodule